skystar 发表于 2022-6-25 11:46:38

22春电子科技大学《EDA技术》课程在线作业2

EDA技术-[电子科技大学]《EDA技术》课程在线作业2
试卷总分                         得分:100
第1题在VHDL的CASE语句中,条件语句中的不是操作符号,其作用相当于(   )。
A、IF
B、THEN
C、AND
D、OR
正确答案
第2题,CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个(   )。
A、PAL
B、GAL
C、FPGA
D、EPROM
正确答案:
第3题,在VHDL中,(      )的数据传输是立即发生的,不存在任何延时的行为。
A、信号
B、常量
C、数据
D、变量
正确答案:
第4题,VHDL程序基本结构包括
A、实体、子程序、配置
B、实体、结构体、配置、函数
C、结构体、状态机、程序包和库
D、实体、结构体、程序包和库
正确答案:
下例程序执行后,X和Y的值分别为。process(A,B,C)
variableD : std_logic;
beginD := A;X = B+D;D := C;Y = B+D;
end;process;
A、B+C和B+A
B、B+A和B+C
C、B+C和B+C
D、B+A和B+A
正确答案:
第6题,下面哪个说法是错误的
A、进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B、进程语句是可以嵌套使用的
C、块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D、块语句是可以嵌套使用的
正确答案:
第7题,符合1987VHDL标准的标识符是
A、2A
B、A+2
C、A_2
D、22
正确答案:
第8题,EDA设计流程包括设计准备、(    )、设计处理和器件编程四个步骤。
A、总体设计
B、设计输入
C、详细设计
D、设计数据
正确答案:
第9题,传统电路设计思想是______________。
A、自下而上
B、自外而里
C、自上而下
D、自里而外
正确答案:
在结构体说明中的几种结构体功能描述语句为 语句。
A、顺序执行
B、并行执行
C、顺序/并行执行
D、循环执行
正确答案:
第11题,在VHDL中,45_234_287属于(   )文字。
A、整数
B、以数制基数表示的
C、实数
D、物理量
正确答案:
EDA的设计验证包括功能仿真、(   )和器件测试三个过程。
A、形式仿真
B、时序仿真
C、数值仿真
D、行为仿真
正确答案:
第13题,Altera公司开发的开发软件为
A、Foundation
B、ispDesignEXPERT
C、MaxplusⅡ
D、ISE
正确答案:
第14题,下面哪个选项不是信号和变量的不同特性
A、赋值方式不同
B、定义位置不同
C、赋值行为不同
D、综合结果不同
正确答案:
顺序语句中的转向控制语句包括
A、if语句、 case 语句、return 语句、Exit 语句
B、if语句、 case 语句、Loop 语句、 Next 语句、 Exit 语句
C、if语句、 case 语句、Loop 语句、return 语句
D、case 语句、Loop 语句、 Next 语句、null 语句
正确答案:
第16题,变量是一种局部量,变量可在以下哪些位置进行定义
A、process、architecture、entity
B、process、function、procedure
C、function、entity、package
D、entity、package、procedure
正确答案:
第17题,不符合进程语句启动条件的是
A、if语句
B、wait语句
C、敏感信号量
D、wait语句或敏感信号量
正确答案:
第18题,常用的硬件描述语言有
A、VHDL、Verilog、c语言
B、ABEL、c++
C、VHDL、Verilog、ABEL
D、汇编语言、ABEL、VHDL
正确答案:
第19题,如果A、B均为为std_logic_vector的数据类型,A的值为,B的值为则BA的值为____________。
A、100011
B、011100
C、110011
D、010011
正确答案:
Xilinx 公司开发的开发软件为
A、ISE<
B、ispDesignEXPERT 系列
C、QuartusⅡ
D、MaxplusⅡ
正确答案:
页: [1]
查看完整版本: 22春电子科技大学《EDA技术》课程在线作业2